VCS 或 Verdi 查看Memory 波形

Verdi 或者 VCS 仿真时查看Memory 波形

VCS编译选项需要添加 -debug_pp

1
2
3
4
5
6
VCS_OPTIONS = +vcs+lic+wait +v2k +systemverilogext+.sv +verilog2001ext+.v -Mupdate  -debug_acc+all -debug_region+cell+encrypt +lint=all,noIEELMME,noTMR,noVCDE -timescale=1ns/1ps
UVM_OPTIONS_COMPILE = -lca -kdb -debug_access+all -debug_access+r+w+nomemcbk -debug_region+cell -debug_pp +vpi -sverilog $(UVM_HOME)/src/dpi/uvm_dpi.cc -CFLAGS -DVCS
vcs_verdi_fsdb:
	pkill dve.exe || true
	$(RUN_CMD) vcs $(VCS_OPTIONS) $(UVM_OPTIONS_COMPILE) -f $(FILE_LIST) | tee ./compile_vcs.log
	$(RUN_CMD) ./simv -l $(MY_VCS_LOG) -ucli -i my_uvm.tcl 1200ms +UVM_TESTNAME=$(TEST_NAME)

fsdbDumpvars 需要加 +all 或者 +mda

如果是在tcl 脚本中

1
fsdbDumpvars 0 "top_tb" "+all"     

如果是在源文件中

1
$fsdbDumpvars( 0, "top_tb", "+all");

效果如下


箭头所示的那个窗口是nWave 专门到来看Memory 的窗口, 使用Tools => Memory/MDA 打开
打开之后需要先点击窗口中的信号图标添加信号
点击Display Range 左边的两个箭头可以点到上/下一处Memory 值变化的地方


Time => 选中 Sync Cursor Time 的话可以使窗口同步nWave 里的光标所示的数据